From 739a1cfcb0d1cc0fb5fed951563b94d6dce7ac97 Mon Sep 17 00:00:00 2001 From: Alexey Shchepin Date: Tue, 14 Jan 2003 17:38:03 +0000 Subject: [PATCH] *** empty log message *** SVN Revision: 39 --- src/ejabberd.erl | 3 +++ src/ejabberd.hrl | 1 + 2 files changed, 4 insertions(+) diff --git a/src/ejabberd.erl b/src/ejabberd.erl index d8a9f9def..eb4459d15 100644 --- a/src/ejabberd.erl +++ b/src/ejabberd.erl @@ -12,11 +12,14 @@ -export([start/0, init/0]). +-include("ejabberd.hrl"). + start() -> spawn(?MODULE, init, []). init() -> register(ejabberd, self()), + error_logger:logfile({open, ?ERROR_LOG_PATH}), randoms:start(), ok = erl_ddll:load_driver(".", expat_erl), Port = open_port({spawn, expat_erl}, [binary]), diff --git a/src/ejabberd.hrl b/src/ejabberd.hrl index 8f480ee5e..4df15d6e8 100644 --- a/src/ejabberd.hrl +++ b/src/ejabberd.hrl @@ -22,4 +22,5 @@ -define(MSGS_DIR, "msgs"). -define(CONFIG_PATH, "ejabberd.cfg"). +-define(ERROR_LOG_PATH, "error.log").